Elektron Jurnal Ilmiah
Vol 5 No 1 (2013): Elektron Jurnal Ilmiah

PENGIMPLEMENTASIAN TEKNOLOGI PROGRAMMABLE LOGIC DEVICE (PLD) SEBAGAI BINER CODE DECIMAL (BCD) UNTUK SCANNING KEYPAD

Muhammad Irmansyah (Politeknik Negeri Padang)



Article Info

Publish Date
22 Aug 2018

Abstract

Industrial of electronics developed in many fields in the middle of 1990s. Base on this situation, the manufacturer produce the product by increased the function, display, low cost, low power consumption and small size. This kind of product must be supported by complex system, small number of integrated circuit and tiny printed circuit board (PCB). Many integrated technologies such as submicron semiconductor, PCB technology, and the using of PCB surface maximal. The market situation push the producer used modern technology in design and testing for example Programmable Logic Device (PLD). It is the integrated circuit using digital logic which can be changed this function by programming and can be used to industrial application. Programmable Logic Device (PLD) technology can be used to many logical programming by using only one IC. The application of this technology can be found in IC 22V10 with 24 pins. This IC can be applied to replace the function of IC 74299 as encoder decimal to biner to scanning keypad.

Copyrights © 2013






Journal Info

Abbrev

JIE

Publisher

Subject

Computer Science & IT Control & Systems Engineering Electrical & Electronics Engineering Engineering

Description

Elektron Jurnal Ilmiah (EJI) is a peer-reviewed journal which is published by Department of Electrical Engineering, Politeknik Negeri Padang. The ISSN number is 2085-6989. EJI published the first edition in 2009 and since 2014, EJI publishes in Juni and December. The scopes of the journal are: ...